2
关注
2714
浏览

FPGA芯片内有哪两种存储器资源?

您还未登录!暂时最多只可查看 1 条回答

登录! 还没有账号?去注册

LX3345680188 二阶会员 用户来自于: 广东省东莞市
2021-12-28 22:16

FPGA芯片内有两种存储器资源:一种叫BLOCK RAM,另一种是由LUT配置成的内部存储器(也就是分布式RAM)。BLOCK RAM由一定数量固定大小的存储块构成的,使用BLOCK RAM资源不占用额外的逻辑资源,并且速度快。但是使用的时候消耗的BLOCK RAM资源是其块大小的整数倍。

关于作者

问题动态

发布时间
2021-12-28 22:16
更新时间
2021-12-28 22:16
关注人数
2 人关注

相关问题

推荐内容

电气常用的攻牙丝锥和钻头的选择有哪些?
HDL语言的层次概念?
附加约束的作用?
为什么触发器要满足建立时间和保持时间?
用flip-flop和logic-gate设计一个1位加法器,输入carryin和current-stage,输出carryout和next-stage?
MOORE 与 MEELEY状态机的特征?
时序约束的概念和基本策略?
你知道那些常用逻辑电平?TTL与COMS电平可以直接互连吗?
FPGA设计中如何实现同步时序电路的延时?
同步电路和异步电路的区别?