2
关注
3638
浏览

时序约束的概念和基本策略?

您还未登录!暂时最多只可查看 1 条回答

登录! 还没有账号?去注册

LX3345680188 二阶会员 用户来自于: 广东省东莞市
2021-12-28 22:11

时序约束主要包括周期约束,偏移约束,静态时序路径约束三种。通过附加时序约束可以综合布线工具调整映射和布局布线,使设计达到时序要求。

附加时序约束的一般策略是先附加全局约束,然后对快速和慢速例外路径附加专门约束。附加全局约束时,首先定义设计的所有时钟,对各时钟域内的同步元件进行分组,对分组附加周期约束,然后对FPGA/CPLD输入输出PAD附加偏移约束、对全组合逻辑的PAD TO PAD路径附加约束。附加专门约束时,首先约束分组之间的路径,然后约束快、慢速例外路径和多周期路径,以及其他特殊路径。

关于作者

问题动态

发布时间
2021-12-28 22:11
更新时间
2021-12-28 22:11
关注人数
2 人关注

推荐内容

什么是亚稳态?为什么两级触发器可以防止亚稳态传播?
同步电路和异步电路的区别?
IC设计过程中将寄生效应的怎样反馈影响设计师的设计方案?
HDL语言的层次概念?
IC设计前端到后端的流程和EDA工具?
电源输入频率描述为50-60Hz与50/60Hz有什么区别?
时序设计的实质?
系统最高速度计算(最快时钟频率)和流水线设计思想?
变压器绝缘损坏该如何处理?
对于多位的异步信号如何进行同步?